Academic Achievement

Selected Journal/Conference Papers:

[1]. Xueqing Li, Sumitha George, Kaisheng Ma, Kai Ni, Ahmedullah Aziz, Sumeet Gupta, John Sampson, Meng-Fan Chang, Yongpan Liu, Huazhong Yang, Suman Datta, and Vijaykrishnan Narayanan, "Lowering Area Overheads for FeFET-Based Energy-Efficient Nonvolatile Flip-Flops," IEEE Transactions on Electron Devices, accepted.
[2]. Sumitha George, Xueqing Li*, Minli Julie Liao, Kaisheng Ma, Srivatsa Srinivasa, Karthik Mohan, Ahmedullah Aziz, John Sampson, Sumeet Kumar Gupta, and Vijaykrishnan Narayanan*, "Symmetric 2-D-Memory Access to Multidimensional Data," IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2018, doi: 10.1109/TVLSI.2018.2801302.
[3]. Longqiang Lai, Xueqing Li*, Huazhong Yang, "Redundancy-bandwidth scalable techniques for signal-independent element transition rates in high-speed current-steering DACs," International Journal of Circuit Theory and Applications, accepted.
[4]. Xueqing Li, Kaisheng Ma, Sumitha George, Win-San Khwa, John Sampson, Sumeet Gupta, Yongpan Liu, Meng-Fan Chang, Suman Datta, and Vijaykrishnan Narayanan, "Design of Nonvolatile SRAM with Ferroelectric FETs for Energy-Efficient Backup and Restore," IEEE Transactions on Electron Devices, vol. 64, no. 7, pp. 3037-3040, July 2017. 
[5]. Xueqing Li, John Sampson, Asif Khan, Kaisheng Ma, Sumitha George, Ahmedullah Aziz, Sumeet Gupta, Sayeef Salahuddin, Meng-Fan Chang, Suman Datta, Vijaykrishnan Narayanan, "Enabling Energy-Efficient Nonvolatile Computing with Negative Capacitance FET," IEEE Transactions on Electron Devices, vol. 64, no. 8, pp. 3452- 3458, August 2017.
[6]. Xueqing Li, Sumitha George, Kaisheng Ma, Wei-Yu Tsai, Ahmedullah Aziz, John Sampson, Sumeet Gupta, Meng-Fan Chang, Yongpan Liu, Suman Datta, Vijaykrishnan Narayanan, "Advancing Nonvolatile Computing with Nonvolatile NCFET Latches and Flip-Flops," IEEE Transactions On Circuits and Systems I: Regular Papers, vol.64, no.11, pp.2907-2919, November 2017.
[7]. Kaisheng Ma, Xueqing Li, Jinyang Li, Yongpan Liu, Yuan Xie, Jack Sampson, Mahmut Taylan Kandemir, Vijaykrishnan Narayanan, "Incidental computing on IoT nonvolatile processors," in Proceedings of the 50th Annual IEEE/ACM International Symposium on Microarchitecture (Micro-50'17), pp. 204-218.[
[8]. Kaisheng Ma, Xueqing Li*, Xiao Shen, Yiqun Wang, Huichu Liu, Shuangchen Li, Karthik Swaminathan, Yongpan Liu, Yuan Xie, John Sampson, Vijaykrishnan Narayanan, "Dynamic power and energy management for energy harvesting nonvolatile processor systems," ACM Transactions on Embedded Computing Systems (TECS), vol. 16, issue 4, Septem 2017.
[9]. Kaisheng Ma, Xueqing Li, Srivatsa Rangachar Srinivasa, Yongpan Liu, John Sampson, Yuan Xie, Vijaykrishnan Narayanan, "Spendthrift: Machine Learning Based Resource and Frequency Scaling for Ambient Energy Harvesting Nonvolatile Processors," ASP-DAC 2017 (BEST PAPER AWARD).
[10]. Xueqing Li, Kaisheng Ma, Sumitha George, John Sampson, and Vijaykrishnan Narayanan, "Enabling Internet-of-Things: Opportunities Brought by Emerging Devices, Circuits, and Architectures," VLSI-SOC 2016
[11]. Sumitha George, Kaisheng Ma, Ahmedullah Aziz, Xueqing Li, John Sampson, Asif Khan, Sayeef Salahuddin, Meng-Fan Chang, Suman Datta, Sumeet Gupta, and Vijaykrishnan Narayanan, "Nonvolatile Memory Design Based on Ferroelectric FETs," DAC 2016
[12]. Kaisheng Ma, Xueqing Li*, Shuangchen Li, Yongpan liu, Jack Sampson, Yuan Xie, Vijaykrishnan Narayanan, "Architecture Exploration for Ambient Energy Harvesting Nonvolatile Processors," IEEE Micro, May/June 2016 Top Picks special issue, 2016
[13]. Wei-Yu Tsai, Xueqing Li*, Matt Jerry et al, “Enabling new computation paradigms with Hyper-FET - an emerging device,” IEEE Transactions onMulti-Scale Computing Systems (TMSCS), 2016 (Best Paper Award).
[14]. Moon Seok Kim, Xueqing Li*, Huichu Liu, John Sampson, Suman Datta, and Vijaykrishnan Narayanan, “Exploration of low-power high-SFDR current-steering D/A converter design using steep-slope Heterojunction Tunnel FETs,” IEEE Transactions on Very Large Scale Integration Systems (TVLSI), 2016.
[15]. Yongpan Liu, Zewei Li, Hehe Li, Yiqun Wang, Xueqing Li, Kaisheng Ma, Shuangchen Li, Meng-Fan Chang, Sampson John, Yuan Xie, Jiwu Shu, Huazhong Yang, “Ambient energy harvesting nonvolatile processors: from circuit to system,” in DAC 2015.
[16]. K. Ma, Y. Zheng, S. Li, K. Swaminathan, X. Li, Y. Liu, J. Sampson, Y. Xie, V. Narayanan, “Architecture Exploration for Ambient Energy Harvesting Nonvolatile Processors,” 21st IEEE Symp. on High Performance Computer Architecture (HPCA 2015, Best Paper Award).
[17]. Jianan Liu, Xueqing Li, Qi Wei, Huazhong Yang, “A 14-Bit 1.0-GS/s Dynamic Element Matching DAC with >80 dB SFDR up to the Nyquist,” ISCAS 2015.
[18]. Xueqing Li, Huichu Liu, Unsuk Dennis Heo, Kaisheng Ma, Suman Datta, and Vijaykrishnan Narayanan, “RF-powered systems using steep-slope devices,” NewCAS 2014.
[19]. Xueqing Li, Wei-Yu Tsai, Huichu Liu, Suman Datta, Vijaykrishnan Narayanan, “A low-voltage low-power LC oscillator using the diode-connected SymFET,” ISVLSI 2014.
[20]. Huichu Liu, Xueqing Li, Ramesh Vaddi, Kaisheng Ma, Suman Datta, and Vijaykrishnan Narayanan, “Tunnel FET RF rectifier design for energy harvesting applications,” IEEE Journal on Emerging and Selected Topics in Circuits and Systems (JETCAS) vol.4, no.4, pp.400,411, Dec. 2014.
[21]. Unsuk Heo, Xueqing Li, Huichu Liu, Sumeet Gupta, Suman Datta, and Vijaykrishnan Narayanan, “A high-efficiency switched-capacitance HTFET charge pump for low-input-voltage applications,” IEEE VLSI Design 2015.
[22]. Xueqing Li, Qi Wei, Zhen Xu, Jianan Liu, Hui Wang, and Huazhong Yang, “A 14 bit 500 MS/s CMOS DAC using complementary current sources and time-relaxed interleaving DRRZ,” Circuits and Systems I: Regular Papers, IEEE Transactions on, vol.61, no.8, pp.2337,2347, Aug. 2014.
[23]. Xueqing Li, Qi Wei, Fei Qiao, and Huazhong Yang, “Balanced switching schemes for gradient-error compensation in current-steering DACs,” IEICE Trans. Electron. Vol. E95-C, No. 11, pp.1790-1798, November 2012.
[24]. Xueqing Li, Qi Wei, Zhen Xu, Jianan Liu, Hua Fan, and Huazhong Yang, “A 14-bit 250-MS/s current-steering CMOS digital-to-analog converter,” Journal of Semiconductor, Vol. 34, No. 8, Aug 2013. [pdf]
[25]. Zhen Xu, Xueqing Li, Jianan Liu, Qi Wei, Li Luo, and Huazhong Yang, “A 14-bit 500-MS/s DAC with digital background calibration,” Journal of Semiconductor, Vol. 35, No. 3, March 2014.
[26]. Xueqing Li, Qi Wei, and Huazhong Yang, “Code-independent output impedance: A new approach to increasing the linearity of current-steering DACs,” IEEE Conf. on Electronic, Circuits, and Systems (ICECS), pp. 216-219, November 2011.

Selected Book Chapters:

[1]. Xueqing Li, Kaisheng Ma, Sumitha George, John Sampson, and Vijaykrishnan Narayanan, "Enabling Internet-of-Things with Opportunities Brought by Emerging Devices, Circuits and Architectures," a chapter to appear in Springer book “Beyond-CMOS Technologies for Next Generation Computer Design” with Editors Dr. Rasit O Topaloglu and Prof. Dr. H.-S. Philip Wong.
[2]. Xueqing Li, Moon Seok Kim, Sumitha George, Ahmedullah Aziz, Matthew Jerry, Nikhil Shukla, John Sampson, Sumeet Gupta, Suman Datta, and Vijaykrishnan Narayanan, "Emerging Steep-Slope Devices and Circuits: Opportunities and Challenges," Chapter 1 appearing at Springer Book “VLSI-SoC: System-on-Chip in the Nanoscale Era - Design, Verification and Reliability”, pp. 1-23.

Granted Patents:

[1]. Huichu Liu; Ramesh Vaddi; Vijaykrishnan Narayanan; Suman Datta; Moon Seok Kim; Xueqing Li; Alexandre Schmid; Mahsa Shoaran; Unsuk Heo, “Low Power Nanoelectronics”, 2015.11.19, USA, 9800094
[2]. Xueqing Li; Huazhong Yang; Longqiang Lai; Qi Wei; Jianan Liu; “Complementary Current Sources, Switches and Digital-to-Analog Converters with Background Calibration (后台校正的互补电流电路、开关电路及电流型数模转换器)”, 2015.08.26, China, CN201510532204.4
[3]. Xueqing Li; Jianan Liu; Huazhong Yang; Hui Wang; Qi Wei; Fei Qiao; Zhen Xu; &ldruo;Random-Splitting Decoding, Units, and Dynamic Element Matching Decoders (随机拆分编码方法、随机拆分单元及动态元件匹配编码器)” 2015.03.24, China, CN201510132158.9
[4]. Xueqing Li; Huazhong Yang; Fei Qiao; Qi Wei; Weihang Liu, “Non-symmetric current source arrays (对称电流源阵列的开关序列的生成方法、装置及其应用)”, 2012.6.27, China, CN201110456292.6
[5]. Xueqing Li; Huazhong Yang; Fei Qiao; Qi Wei; Weihang Liu, “Symmetric current source arrays (非对称电流源阵列的开关序列的生成方法、装置及其应用)”, 2012.6.27, China, CN201110457915.1
[6]. Xueqing Li; Huazhong Yang; Fei Qiao; Qi Wei, “Current-Steering Digital-to-Analog Converters (电流型数模转换器)”, 2012.3.28, China, CN201110356002.0
[7]. Xueqing Li; Huazhong Yang; Fei Qiao; Qi Wei, “Current-Steering Digital-to-Analog Converters (电流型数模转换器)”, 2011.10.14, China, CN201110312706.8
[8]. Xueqing Li; Fei Qiao; Hui Wang; Huazhong Yang; “Switch Devices and Current-Steering Digital-to-Anaog Converters (开关装置和具有其的电流型数模转换器)”, 2010.3.9, China, CN201010120999.5



+

Doctoral degree

Xueqing Li
MOBILE Version